#systemverilog# 关于关键字 “randc” 的几点理解

systemverilog中经常用randc 去随机化一个变量,究竟randc 如何实现周期性赋值和体现?小实验给大家展示一下

 

自己做了一个小实验,去验证randc的工作机制,发现:并没有取得实际预期的结果。请大神帮忙解答一下,感谢~~~

 

绿皮书中,有这样有段描述:

“randc 变量,表示周期随机性,即所有可能的值都被赋过之后随机值才可能重复。注意:周期性是单一变量的周期性,例如有8个元素的randc数组就会有8种不同的周期。”

个人比较菜,没有深刻理解含义。嘿嘿~

修改程序之后,运行,得到预取效果如下:

个人比较菜,没有深刻理解含义。嘿嘿~

修改程序之后,运行,得到预取效果如下: